test_rx_file: set nco frequency

This commit is contained in:
Simon Ruderich 2024-04-20 01:10:14 +02:00
parent 79fc1c4f59
commit 2768e21849
1 changed files with 1 additions and 0 deletions

View File

@ -106,6 +106,7 @@ int main(int argc, char **argv)
firdecim_crcf decim = firdecim_crcf_create_kaiser(SDR_OVERSAMPLING, 9, 60.0f);
nco_crcf rx_nco = nco_crcf_create(LIQUID_NCO);
nco_crcf_set_frequency(rx_nco, 2 * 3.14159 * SDR_RX_IF_SHIFT / SDR_RX_SAMPLING_RATE);
inputfile = fopen(argv[1], "rb");
if(!inputfile) {